[0.016]**************************************** [0.050]Xilinx Versal Platform Loader and Manager [0.085]Release 2024.1 May 19 2024 - 02:40:12 [0.122]Platform Version: v2.0 PMC: v2.0, PS: v2.0 [0.164]BOOTMODE: 0xE, MULTIBOOT: 0xF0000000 [0.199]**************************************** [0.404]Non Secure Boot [3.543]PLM Initialization Time [3.572]***********Boot PDI Load: Started*********** [3.612]Loading PDI from SD1_LS [3.640]Monolithic/Master Device [311.896]308.276 ms: PDI initialization time [311.938]+++Loading Image#: 0x1, Name: lpd, Id: 0x04210002 [311.988]---Loading Partition#: 0x1, Id: 0xC [365.524] 53.488 ms for Partition#: 0x1, Size: 9168 Bytes [370.492]---Loading Partition#: 0x2, Id: 0x0 [389.847] 15.425 ms for Partition#: 0x2, Size: 64928 Bytes PSM Firmware version: 2024.1 [Build: May 19 2024 02:40:12 ] [397.468]+++Loading Image#: 0x2, Name: pl_cfi, Id: 0x18700000 [402.818]---Loading Partition#: 0x3, Id: 0x3 [1429.007] 1022.255 ms for Partition#: 0x3, Size: 4407680 Bytes [1431.742]---Loading Partition#: 0x4, Id: 0x5 [1629.517] 193.761 ms for Partition#: 0x4, Size: 654864 Bytes [1632.092]+++Loading Image#: 0x3, Name: fpd, Id: 0x0420C003 [1637.284]---Loading Partition#: 0x5, Id: 0x8 [1643.677] 2.380 ms for Partition#: 0x5, Size: 4544 Bytes [1646.603]+++Loading Image#: 0x4, Name: apu_subsystem, Id: 0x1C000000 [1652.377]---Loading Partition#: 0x6, Id: 0x0 [1666.126] 9.735 ms for Partition#: 0x6, Size: 41744 Bytes [1668.432]---Loading Partition#: 0x7, Id: 0x0 [1687.208] 14.762 ms for Partition#: 0x7, Size: 67632 Bytes [1689.600]---Loading Partition#: 0x8, Id: 0x0 [1947.590] 253.975 ms for Partition#: 0x8, Size: 1193376 Bytes [1F50.286]*****E*r***BootolDI Load: NoTe*********** [195 .766]r85.485 msonROM Time [1957.486]Total PLM Boot Time INFO: BL31: GET_HANDOFF_PARAMS call success=0 INFO: BL31: PLM to TF-A handover success 0 NOTICE: BL31: Secure code at 0x0 NOTICE: BL31: Non secure code at 0x8000000 NOTICE: BL31: v2.10.0 (debug):v1.1-13187-g4f82b6134 NOTICE: BL31: Built : 04:45:53, Mar 12 2024 INFO: GICv3 with legacy support detected. INFO: ARM GICv3 driver initialized in EL3 INFO: Maximum SPI INTID supported: 191 INFO: BL31: Initializing runtime services INFO: BL31: cortex_a72: CPU workaround for erratum 859971 was applied INFO: BL31: cortex_a72: CPU workaround for erratum 1319367 was applied INFO: BL31: cortex_a72: CPU workaround for CVE 2017_5715 was applied INFO: BL31: cortex_a72: CPU workaround for CVE 2018_3639 was applied INFO: BL31: cortex_a72: CPU workaround for CVE 2022_23960 was applied INFO: BL31: Preparing for EL3 exit to normal world INFO: Entry point address = 0x8000000 INFO: SPSR = 0x3c9 U-Boot 2024.01 (May 14 2024 - 03:31:48 +0000) CPU: Versal Silicon: v2 Chip: v2 Model: Xilinx Versal vek280 Eval board revB DRAM: 2 GiB (effective 4 GiB) EL Level: EL2 Core: 46 devices, 21 uclasses, devicetree: board MMC: mmc@f1050000: 0 Loading Environment from FAT... *** Error - No Valid Environment Area found *** Warning - bad env area, using default environment In: serial@ff000000 Out: serial@ff000000 Err: serial@ff000000 Bootmode: LVL_SHFT_SD_MODE1 Net: ADIN1300 PHY detected at addr 1 ZYNQ GEM: ff0c0000, mdio bus ff0c0000, phyaddr 1, interface rgmii-id Warning: ethernet@ff0c0000 (eth4) using random MAC address - 9a:1b:20:2f:b8:36 eth4: ethernet@ff0c0000AXI EMAC: 80000000, phyaddr 0, interface , eth0: ethernet@80000000AXI EMAC: 80010000, phyaddr 0, interface , eth1: ethernet@80010000AXI EMAC: 80020000, phyaddr 0, interface , eth2: ethernet@80020000AXI EMAC: 80030000, phyaddr 0, interface , eth3: ethernet@80030000 Hit any key to stop autoboot: 0 switch to partitions #0, OK mmc0 is current device Scanning mmc 0:1... Found U-Boot script /boot.scr 3472 bytes read in 14 ms (242.2 KiB/s) ## Executing script at 20000000 Trying to load boot images from mmc0 10340772 bytes read in 708 ms (13.9 MiB/s) ## Loading kernel from FIT Image at 10000000 ... Using 'conf-system-top.dtb' configuration Trying 'kernel-1' kernel subimage Description: Linux kernel Type: Kernel Image Compression: gzip compressed Data Start: 0x10000108 Data Size: 10297108 Bytes = 9.8 MiB Architecture: AArch64 OS: Linux Load Address: 0x00200000 Entry Point: 0x00200000 Hash algo: sha256 Hash value: f695714a690e9713b9625c30afe43f007051a2b3e9cc36853ccf1961dcf5f86e Verifying Hash Integrity ... sha256+ OK ## Loading fdt from FIT Image at 10000000 ... Using 'conf-system-top.dtb' configuration Trying 'fdt-system-top.dtb' fdt subimage Description: Flattened Device Tree blob Type: Flat Device Tree Compression: uncompressed Data Start: 0x109d212c Data Size: 41743 Bytes = 40.8 KiB Architecture: AArch64 Hash algo: sha256 Hash value: 6676a6c19335810ccc8937f72a8f364e39a315927f51951b8e68c515bf3b326a Verifying Hash Integrity ... sha256+ OK Booting using the fdt blob at 0x109d212c Working FDT set to 109d212c Uncompressing Kernel Image Loading Device Tree to 0000000079e8e000, end 0000000079e9b30e ... OK Working FDT set to 79e8e000 Starting kernel ... [ 0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd083] [ 0.000000] Linux version 6.6.10-xilinx-v2024.1-g3af4295e00ef (oe-user@oe-host) (aarch64-xilinx-linux-gcc (GCC) 12.2.0, GNU ld (GNU Binutils) 2.39.0.20220819) #1 SMP Sat Apr 27 05:22:24 UTC 2024 [ 0.000000] KASLR disabled due to lack of seed [ 0.000000] Machine model: Xilinx Versal vek280 Eval board revB [ 0.000000] earlycon: pl11 at MMIO32 0x00000000ff000000 (options '115200n8') [ 0.000000] printk: bootconsole [pl11] enabled [ 0.000000] efi: UEFI not found. [ 0.000000] OF: reserved mem: 0x0000000800000000..0x000000087fffffff (2097152 KiB) nomap non-reusable buffer@0 [ 0.000000] OF: reserved mem: 0x0000050000000000..0x00000501ffffffff (8388608 KiB) nomap non-reusable buffer@1 [ 0.000000] Zone ranges: [ 0.000000] DMA32 [mem 0x0000000000000000-0x00000000ffffffff] [ 0.000000] Normal [mem 0x0000000100000000-0x000000087fffffff] [ 0.000000] Movable zone start for each node [ 0.000000] Early memory node ranges [ 0.000000] node 0: [mem 0x0000000000000000-0x000000007fffffff] [ 0.000000] node 0: [mem 0x0000000800000000-0x000000087fffffff] [ 0.000000] Initmem setup node 0 [mem 0x0000000000000000-0x000000087fffffff] [ 0.000000] cma: Reserved 256 MiB at 0x0000000069e00000 on node -1 [ 0.000000] psci: probing for conduit method from DT. [ 0.000000] psci: PSCIv1.1 detected in firmware. [ 0.000000] psci: Using standard PSCI v0.2 function IDs [ 0.000000] psci: MIGRATE_INFO_TYPE not supported. [ 0.000000] psci: SMC Calling Convention v1.4 [ 0.000000] percpu: Embedded 19 pages/cpu s37864 r8192 d31768 u77824 [ 0.000000] Detected PIPT I-cache on CPU0 [ 0.000000] CPU features: detected: GIC system register CPU interface [ 0.000000] CPU features: detected: Spectre-v2 [ 0.000000] CPU features: detected: Spectre-v3a [ 0.000000] CPU features: detected: Spectre-BHB [ 0.000000] CPU features: detected: ARM erratum 1742098 [ 0.000000] CPU features: detected: ARM errata 1165522, 1319367, or 1530923 [ 0.000000] alternatives: applying boot alternatives [ 0.000000] Kernel command line: console=ttyAMA0 earlycon=pl011,mmio32,0xFF000000,115200n8 clk_ignore_unused root=/dev/mmcblk0p2 rw rootwait rootfs=ext4 uio_pdrv_genirq.of_id=generic-uio [ 0.000000] Unknown kernel command line parameters "rootfs=ext4", will be passed to user space. [ 0.000000] Dentry cache hash table entries: 524288 (order: 10, 4194304 bytes, linear) [ 0.000000] Inode-cache hash table entries: 262144 (order: 9, 2097152 bytes, linear) [ 0.000000] Built 1 zonelists, mobility grouping on. Total pages: 1032192 [ 0.000000] mem auto-init: stack:all(zero), heap alloc:off, heap free:off [ 0.000000] software IO TLB: area num 2. [ 0.000000] software IO TLB: mapped [mem 0x0000000065e00000-0x0000000069e00000] (64MB) [ 0.000000] Memory: 1668720K/4194304K available (15040K kernel code, 1034K rwdata, 4336K rodata, 2752K init, 443K bss, 2263440K reserved, 262144K cma-reserved) [ 0.000000] SLUB: HWalign=64, Order=0-3, MinObjects=0, CPUs=2, Nodes=1 [ 0.000000] rcu: Hierarchical RCU implementation. [ 0.000000] rcu: RCU event tracing is enabled. [ 0.000000] rcu: RCU restricting CPUs from NR_CPUS=16 to nr_cpu_ids=2. [ 0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 25 jiffies. [ 0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=2 [ 0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0 [ 0.000000] GICv3: GIC: Using split EOI/Deactivate mode [ 0.000000] GICv3: 160 SPIs implemented [ 0.000000] GICv3: 0 Extended SPIs implemented [ 0.000000] Root IRQ handler: gic_handle_irq [ 0.000000] GICv3: GICv3 features: 16 PPIs [ 0.000000] GICv3: CPU0: found redistributor 0 region 0:0x00000000f9080000 [ 0.000000] ITS [mem 0xf9020000-0xf903ffff] [ 0.000000] ITS@0x00000000f9020000: allocated 65536 Devices @1c80000 (flat, esz 8, psz 64K, shr 0) [ 0.000000] ITS: using cache flushing for cmd queue [ 0.000000] GICv3: using LPI property table @0x0000000001c40000 [ 0.000000] GIC: using cache flushing for LPI property table [ 0.000000] GICv3: CPU0: using allocated LPI pending table @0x0000000001c50000 [ 0.000000] ITS queue timeout (64 0) [ 0.000000] ITS cmd its_build_mapc_cmd failed [ 0.000000] ITS queue timeout (128 0) [ 0.000000] ITS cmd its_build_invall_cmd failed [ 0.000000] rcu: srcu_init: Setting srcu_struct sizes based on contention. [ 0.000000] arch_timer: cp15 timer(s) running at 99.99MHz (phys). [ 0.000000] clocksource: arch_sys_counter: mask: 0x1ffffffffffffff max_cycles: 0x1710239320, max_idle_ns: 440795206806 ns [ 0.000000] sched_clock: 57 bits at 100MHz, resolution 10ns, wraps every 4398046511100ns [ 0.008292] Console: colour dummy device 80x25 [ 0.012785] Calibrating delay loop (skipped), value calculated using timer frequency.. 199.99 BogoMIPS (lpj=399999) [ 0.023311] pid_max: default: 32768 minimum: 301 [ 0.028030] Mount-cache hash table entries: 8192 (order: 4, 65536 bytes, linear) [ 0.035497] Mountpoint-cache hash table entries: 8192 (order: 4, 65536 bytes, linear) [ 0.043835] cacheinfo: Unable to detect cache hierarchy for CPU 0 [ 0.050440] rcu: Hierarchical SRCU implementation. [ 0.055271] rcu: Max phase no-delay instances is 1000. [ 0.060670] Platform MSI: msi-controller@f9020000 domain created [ 0.066790] PCI/MSI: /axi/interrupt-controller@f9000000/msi-controller@f9020000 domain created [ 0.075528] EFI services will not be available. [ 0.080183] smp: Bringing up secondary CPUs ... [ 0.085059] Detected PIPT I-cache on CPU1 [ 0.085094] GICv3: CPU1: found redistributor 1 region 0:0x00000000f90a0000 [ 0.085102] GICv3: CPU1: using allocated LPI pending table @0x0000000001c60000 [ 1.251168] ITS queue timeout (192 0) [ 1.251171] ITS cmd its_build_mapc_cmd failed [ 2.417241] ITS queue timeout (256 0) [ 2.417243] ITS cmd its_build_invall_cmd failed [ 2.417259] CPU1: Booted secondary processor 0x0000000001 [0x410fd083] [ 2.417310] smp: Brought up 1 node, 2 CPUs [ 2.462555] SMP: Total of 2 processors activated. [ 2.467294] CPU features: detected: 32-bit EL0 Support [ 2.472470] CPU features: detected: CRC32 instructions [ 2.477698] CPU: All CPU(s) started at EL2 [ 2.481825] alternatives: applying system-wide alternatives [ 2.488406] devtmpfs: initialized [ 2.494966] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns [ 2.504810] futex hash table entries: 512 (order: 3, 32768 bytes, linear) [ 2.513482] pinctrl core: initialized pinctrl subsystem [ 2.519021] DMI not present or invalid. [ 2.523227] NET: Registered PF_NETLINK/PF_ROUTE protocol family [ 2.529652] DMA: preallocated 256 KiB GFP_KERNEL pool for atomic allocations [ 2.536833] DMA: preallocated 256 KiB GFP_KERNEL|GFP_DMA32 pool for atomic allocations [ 2.544850] audit: initializing netlink subsys (disabled) [ 2.550371] audit: type=2000 audit(2.464:1): state=initialized audit_enabled=0 res=1 [ 2.550667] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers. [ 2.565047] ASID allocator initialised with 65536 entries [ 2.570578] Serial: AMBA PL011 UART driver [ 2.576411] platform axi: Fixed dependency cycle(s) with /axi/interrupt-controller@f9000000 [ 2.588413] Modules: 26816 pages in range for non-PLT usage [ 2.588419] Modules: 518336 pages in range for PLT usage [ 2.594431] HugeTLB: registered 1.00 GiB page size, pre-allocated 0 pages [ 2.606627] HugeTLB: 0 KiB vmemmap can be freed for a 1.00 GiB page [ 2.612942] HugeTLB: registered 32.0 MiB page size, pre-allocated 0 pages [ 2.619784] HugeTLB: 0 KiB vmemmap can be freed for a 32.0 MiB page [ 2.626099] HugeTLB: registered 2.00 MiB page size, pre-allocated 0 pages [ 2.632939] HugeTLB: 0 KiB vmemmap can be freed for a 2.00 MiB page [ 2.639255] HugeTLB: registered 64.0 KiB page size, pre-allocated 0 pages [ 2.646095] HugeTLB: 0 KiB vmemmap can be freed for a 64.0 KiB page [ 2.720447] raid6: neonx8 gen() 4213 MB/s [ 2.792784] raid6: neonx4 gen() 4107 MB/s [ 2.865122] raid6: neonx2 gen() 3400 MB/s [ 2.937463] raid6: neonx1 gen() 2464 MB/s [ 3.009805] raid6: int64x8 gen() 2359 MB/s [ 3.082150] raid6: int64x4 gen() 2306 MB/s [ 3.154495] raid6: int64x2 gen() 2241 MB/s [ 3.226836] raid6: int64x1 gen() 1707 MB/s [ 3.231135] raid6: using algorithm neonx8 gen() 4213 MB/s [ 3.304607] raid6: .... xor() 2943 MB/s, rmw enabled [ 3.309609] raid6: using neon recovery algorithm [ 3.314565] iommu: Default domain type: Translated [ 3.319397] iommu: DMA domain TLB invalidation policy: strict mode [ 3.325758] SCSI subsystem initialized [ 3.329636] usbcore: registered new interface driver usbfs [ 3.335178] usbcore: registered new interface driver hub [ 3.340546] usbcore: registered new device driver usb [ 3.345696] mc: Linux media interface: v0.10 [ 3.350015] videodev: Linux video capture interface: v2.00 [ 3.355560] pps_core: LinuxPPS API ver. 1 registered [ 3.360563] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti [ 3.369774] PTP clock support registered [ 3.373738] EDAC MC: Ver: 3.0.0 [ 3.377133] FPGA manager framework [ 3.380644] Advanced Linux Sound Architecture Driver Initialized. [ 3.387048] Bluetooth: Core ver 2.22 [ 3.390660] NET: Registered PF_BLUETOOTH protocol family [ 3.396013] Bluetooth: HCI device and connection manager initialized [ 3.402422] Bluetooth: HCI socket layer initialized [ 3.407336] Bluetooth: L2CAP socket layer initialized [ 3.412430] Bluetooth: SCO socket layer initialized [ 3.417600] clocksource: Switched to clocksource arch_sys_counter [ 3.423857] VFS: Disk quotas dquot_6.6.0 [ 3.427828] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes) [ 3.438490] NET: Registered PF_INET protocol family [ 3.443539] IP idents hash table entries: 65536 (order: 7, 524288 bytes, linear) [ 3.452869] tcp_listen_portaddr_hash hash table entries: 2048 (order: 3, 32768 bytes, linear) [ 3.461494] Table-perturb hash table entries: 65536 (order: 6, 262144 bytes, linear) [ 3.469308] TCP established hash table entries: 32768 (order: 6, 262144 bytes, linear) [ 3.477440] TCP bind hash table entries: 32768 (order: 8, 1048576 bytes, linear) [ 3.485444] TCP: Hash tables configured (established 32768 bind 32768) [ 3.492098] UDP hash table entries: 2048 (order: 4, 65536 bytes, linear) [ 3.498929] UDP-Lite hash table entries: 2048 (order: 4, 65536 bytes, linear) [ 3.506250] NET: Registered PF_UNIX/PF_LOCAL protocol family [ 3.512187] RPC: Registered named UNIX socket transport module. [ 3.518163] RPC: Registered udp transport module. [ 3.522900] RPC: Registered tcp transport module. [ 3.527640] RPC: Registered tcp-with-tls transport module. [ 3.533165] RPC: Registered tcp NFSv4.1 backchannel transport module. [ 3.539664] PCI: CLS 0 bytes, default 64 [ 3.544253] Initialise system trusted keyrings [ 3.548834] workingset: timestamp_bits=46 max_order=19 bucket_order=0 [ 3.555681] NFS: Registering the id_resolver key type [ 3.560792] Key type id_resolver registered [ 3.565010] Key type id_legacy registered [ 3.569063] nfs4filelayout_init: NFSv4 File Layout Driver Registering... [ 3.575820] nfs4flexfilelayout_init: NFSv4 Flexfile Layout Driver Registering... [ 3.583419] jffs2: version 2.2. (NAND) (SUMMARY) © 2001-2006 Red Hat, Inc. [ 3.610227] NET: Registered PF_ALG protocol family [ 3.615065] xor: measuring software checksum speed [ 3.621350] 8regs : 6753 MB/sec [ 3.627087] 32regs : 7304 MB/sec [ 3.633110] arm64_neon : 6022 MB/sec [ 3.637499] xor: using function: 32regs (7304 MB/sec) [ 3.642592] Key type asymmetric registered [ 3.646718] Asymmetric key parser 'x509' registered [ 3.651662] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 244) [ 3.659120] io scheduler mq-deadline registered [ 3.663686] io scheduler kyber registered [ 3.667743] io scheduler bfq registered [ 3.695655] Serial: 8250/16550 driver, 4 ports, IRQ sharing disabled [ 3.702963] Serial: AMBA driver [ 3.709945] brd: module loaded [ 3.715105] loop: module loaded [ 3.720805] tun: Universal TUN/TAP device driver, 1.6 [ 3.726004] CAN device driver interface [ 3.731639] usbcore: registered new interface driver asix [ 3.737102] usbcore: registered new interface driver ax88179_178a [ 3.743254] usbcore: registered new interface driver cdc_ether [ 3.749144] usbcore: registered new interface driver net1080 [ 3.754859] usbcore: registered new interface driver cdc_subset [ 3.760836] usbcore: registered new interface driver zaurus [ 3.766472] usbcore: registered new interface driver cdc_ncm [ 3.772193] usbcore: registered new interface driver r8153_ecm [ 3.778239] VFIO - User Level meta-driver version: 0.3 [ 3.783750] usbcore: registered new interface driver uas [ 3.789126] usbcore: registered new interface driver usb-storage [ 3.795355] i2c_dev: i2c /dev entries driver [ 3.800237] pca954x 2-0070: supply vdd not found, using dummy regulator [ 3.807339] i2c i2c-2: Added multiplexed i2c bus 3 [ 3.812291] i2c i2c-2: Added multiplexed i2c bus 4 [ 3.817226] i2c i2c-2: Added multiplexed i2c bus 5 [ 3.822166] i2c i2c-2: Added multiplexed i2c bus 6 [ 3.827164] si5324 7-0068: si5328 probed [ 3.899161] si5324 7-0068: si5328 probe successful [ 3.904028] i2c i2c-2: Added multiplexed i2c bus 7 [ 3.908961] i2c i2c-2: Added multiplexed i2c bus 8 [ 3.913889] i2c i2c-2: Added multiplexed i2c bus 9 [ 3.918814] i2c i2c-2: Added multiplexed i2c bus 10 [ 3.923733] pca954x 2-0070: registered 8 multiplexed busses for I2C switch pca9548 [ 3.932321] usbcore: registered new interface driver uvcvideo [ 3.938450] Bluetooth: HCI UART driver ver 2.3 [ 3.942932] Bluetooth: HCI UART protocol H4 registered [ 3.948111] Bluetooth: HCI UART protocol BCSP registered [ 3.953475] Bluetooth: HCI UART protocol LL registered [ 3.958653] Bluetooth: HCI UART protocol ATH3K registered [ 3.964101] Bluetooth: HCI UART protocol Three-wire (H5) registered [ 3.970442] Bluetooth: HCI UART protocol Intel registered [ 3.975891] Bluetooth: HCI UART protocol QCA registered [ 3.981167] usbcore: registered new interface driver bcm203x [ 3.986884] usbcore: registered new interface driver bpa10x [ 3.992514] usbcore: registered new interface driver bfusb [ 3.998053] usbcore: registered new interface driver btusb [ 4.003602] usbcore: registered new interface driver ath3k [ 4.009404] sdhci: Secure Digital Host Controller Interface driver [ 4.015641] sdhci: Copyright(c) Pierre Ossman [ 4.020030] sdhci-pltfm: SDHCI platform and OF driver helper [ 4.025920] ledtrig-cpu: registered to indicate activity on CPUs [ 4.032022] SMCCC: SOC_ID: ID = jep106:0049:0000 Revision = 0x00000000 [ 4.038682] zynqmp_firmware_probe Platform Management API v1.0 [ 4.044610] zynqmp_firmware_probe Trustzone version v1.0 [ 4.050413] xlnx_event_manager xlnx_event_manager: SGI 15 Registered over TF-A [ 4.057703] xlnx_event_manager xlnx_event_manager: Xilinx Event Management driver probed [ 4.110993] zynqmp-pinctrl firmware:versal-firmware:pinctrl: This is an experimental solution(hardcoded the MIO ID [ 4.110993] information) and this solution will be deprecated and use PM interface [ 4.110993] to get the MIO IDs information [ 4.150138] securefw securefw: securefw probed [ 4.154924] zynqmp-aes zynqmp-aes.0: will run requests pump with realtime priority [ 4.162807] zynqmp_rsa zynqmp_rsa.0: RSA is not supported on the platform [ 4.169728] usbcore: registered new interface driver usbhid [ 4.175345] usbhid: USB HID core driver [ 4.180522] ARM CCI_500 PMU driver probed [ 4.180785] hw perfevents: enabled with armv8_pmuv3 PMU driver, 7 counters available [ 4.193121] fpga_manager fpga0: Xilinx Versal FPGA Manager registered [ 4.200023] pktgen: Packet Generator for packet performance testing. Version: 2.75 [ 4.208156] Initializing XFRM netlink socket [ 4.212497] NET: Registered PF_INET6 protocol family [ 4.218069] Segment Routing with IPv6 [ 4.221792] In-situ OAM (IOAM) with IPv6 [ 4.225805] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver [ 4.232050] NET: Registered PF_PACKET protocol family [ 4.237150] NET: Registered PF_KEY protocol family [ 4.241987] can: controller area network core [ 4.246394] NET: Registered PF_CAN protocol family [ 4.251225] can: raw protocol [ 4.254212] can: broadcast manager protocol [ 4.258426] can: netlink gateway - max_hops=1 [ 4.262892] Bluetooth: RFCOMM TTY layer initialized [ 4.267812] Bluetooth: RFCOMM socket layer initialized [ 4.273006] Bluetooth: RFCOMM ver 1.11 [ 4.276786] Bluetooth: BNEP (Ethernet Emulation) ver 1.3 [ 4.282137] Bluetooth: BNEP filters: protocol multicast [ 4.287407] Bluetooth: BNEP socket layer initialized [ 4.292407] Bluetooth: HIDP (Human Interface Emulation) ver 1.2 [ 4.298374] Bluetooth: HIDP socket layer initialized [ 4.303401] 8021q: 802.1Q VLAN Support v1.8 [ 4.307747] 9pnet: Installing 9P2000 support [ 4.312074] Key type dns_resolver registered [ 4.320528] registered taskstats version 1 [ 4.324663] Loading compiled-in X.509 certificates [ 4.335198] Btrfs loaded, zoned=no, fsverity=no [ 4.578055] ff000000.serial: ttyAMA0 at MMIO 0xff000000 (irq = 27, base_baud = 0) is a PL011 rev3 [ 4.587036] printk: console [ttyAMA0] enabled [ 4.587036] printk: console [ttyAMA0] enabled [ 4.595775] printk: bootconsole [pl11] disabled [ 4.595775] printk: bootconsole [pl11] disabled [ 4.605161] of-fpga-region fpga: FPGA Region probed [ 4.616444] spi-nor spi0.0: mt35xu02g (262144 Kbytes) [ 4.621577] 3 fixed-partitions partitions found on MTD device f1010000.spi.0 [ 4.628620] Creating 3 MTD partitions on "f1010000.spi.0": [ 4.634099] 0x000000000000-0x000000100000 : "spi0-boot" [ 4.639925] 0x000000100000-0x000001700000 : "spi0-kernel" [ 4.645850] 0x000001700000-0x000001740000 : "spi0-bootenv" [ 4.669922] macb ff0c0000.ethernet eth4: Cadence GEM rev 0x0107010b at 0xff0c0000 irq 38 (9a:1b:20:2f:b8:36) [ 4.741797] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller [ 4.747304] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 1 [ 4.755026] xhci-hcd xhci-hcd.0.auto: USB3 root hub has no ports [ 4.761030] xhci-hcd xhci-hcd.0.auto: hcc params 0x0238fe65 hci version 0x110 quirks 0x0000000000000810 [ 4.770438] xhci-hcd xhci-hcd.0.auto: irq 40, io mem 0xfe200000 [ 4.776498] usb usb1: New USB device found, idVendor=1d6b, idProduct=0002, bcdDevice= 6.06 [ 4.784763] usb usb1: New USB device strings: Mfr=3, Product=2, SerialNumber=1 [ 4.791981] usb usb1: Product: xHCI Host Controller [ 4.796853] usb usb1: Manufacturer: Linux 6.6.10-xilinx-v2024.1-g3af4295e00ef xhci-hcd [ 4.804769] usb usb1: SerialNumber: xhci-hcd.0.auto [ 4.810019] hub 1-0:1.0: USB hub found [ 4.813783] hub 1-0:1.0: 1 port detected [ 4.818529] rtc_zynqmp f12a0000.rtc: registered as rtc0 [ 4.823768] rtc_zynqmp f12a0000.rtc: setting system clock to 2024-10-10T11:50:51 UTC (1728561051) [ 4.832786] cdns-i2c ff020000.i2c: can't get pinctrl, bus recovery not supported [ 4.840413] cdns-i2c ff020000.i2c: 100 kHz mmio ff020000 irq 43 [ 4.846476] cdns-i2c ff030000.i2c: can't get pinctrl, bus recovery not supported [ 4.854169] cdns-i2c ff030000.i2c: 100 kHz mmio ff030000 irq 44 [ 4.860460] cpufreq: cpufreq_online: CPU0: Running at unlisted initial frequency: 1399998 KHz, changing to: 1199999 KHz [ 4.876180] of_cfs_init [ 4.878682] of_cfs_init: OK [ 4.881541] clk: Not disabling unused clocks [ 4.886238] ALSA device list: [ 4.889200] No soundcards found. [ 4.893173] uart-pl011 ff000000.serial: no DMA platform data [ 4.902155] mmc0: SDHCI controller on f1050000.mmc [f1050000.mmc] using ADMA 64-bit [ 4.910024] Waiting for root device /dev/mmcblk0p2... [ 4.948737] mmc0: new high speed SDHC card at address 5048 [ 4.954746] mmcblk0: mmc0:5048 SD32G 29.7 GiB [ 4.961449] mmcblk0: p1 p2 [ 4.992849] EXT4-fs (mmcblk0p2): mounted filesystem 618c3265-8ef2-4217-b7c4-aefa57a2013a r/w with ordered data mode. Quota mode: none. [ 5.005019] VFS: Mounted root (ext4 filesystem) on device 179:2. [ 5.018680] devtmpfs: mounted [ 5.022756] Freeing unused kernel memory: 2752K [ 5.027545] Run /sbin/init as init process [ 5.474516] systemd[1]: systemd 251.8+ running in system mode (+PAM -AUDIT -SELINUX -APPARMOR +IMA -SMACK +SECCOMP -GCRYPT -GNUTLS -OPENSSL +ACL +BLKID -CURL -ELFUTILS -FIDO2 -IDN2 -IDN -IPTC +KMOD -LIBCRYPTSETUP +LIBFDISK -PCRE2 -PWQUALITY -P11KIT -QRENCODE -TPM2 -BZIP2 -LZ4 -XZ -ZLIB +ZSTD -BPF_FRAMEWORK +XKBCOMMON +UTMP +SYSVINIT default-hierarchy=hybrid) [ 5.506308] systemd[1]: Detected architecture arm64. Welcome to PetaLinux 2024.1+release-S05201002 (langdale)! [ 5.565979] systemd[1]: Hostname set to . [ 5.694568] systemd-sysv-generator[169]: SysV service '/etc/init.d/nfsserver' lacks a native systemd unit file. Automatically generating a unit file for compatibility. Please update package to include a native systemd unit file, in order to make it more safe and robust. [ 5.722267] systemd-sysv-generator[169]: SysV service '/etc/init.d/sshd' lacks a native systemd unit file. Automatically generating a unit file for compatibility. Please update package to include a native systemd unit file, in order to make it more safe and robust. [ 5.746284] systemd-sysv-generator[169]: SysV service '/etc/init.d/inetd.busybox' lacks a native systemd unit file. Automatically generating a unit file for compatibility. Please update package to include a native systemd unit file, in order to make it more safe and robust. [ 6.055161] systemd[1]: Queued start job for default target Multi-User System. [ 6.103624] systemd[1]: Created slice Slice /system/getty. [ OK ] Created slice Slice /system/getty. [ 6.127417] systemd[1]: Created slice Slice /system/modprobe. [ OK ] Created slice Slice /system/modprobe. [ 6.151366] systemd[1]: Created slice Slice /system/serial-getty. [ OK ] Created slice Slice /system/serial-getty. [ 6.175031] systemd[1]: Created slice User and Session Slice. [ OK ] Created slice User and Session Slice. [ 6.193965] systemd[1]: Started Dispatch Password Requests to Console Directory Watch. [ OK ] Started Dispatch Password …ts to Console Directory Watch. [ 6.217907] systemd[1]: Started Forward Password Requests to Wall Directory Watch. [ OK ] Started Forward Password R…uests to Wall Directory Watch. [ 6.241897] systemd[1]: Reached target Path Units. [ OK ] Reached target Path Units. [ 6.261767] systemd[1]: Reached target Remote File Systems. [ OK ] Reached target Remote File Systems. [ 6.281749] systemd[1]: Reached target Slice Units. [ OK ] Reached target Slice Units. [ 6.301755] systemd[1]: Reached target Swaps. [ OK ] Reached target Swaps. [ 6.318347] systemd[1]: Listening on RPCbind Server Activation Socket. [ OK ] Listening on RPCbind Server Activation Socket. [ 6.337788] systemd[1]: Reached target RPC Port Mapper. [ OK ] Reached target RPC Port Mapper. [ 6.362058] systemd[1]: Listening on Syslog Socket. [ OK ] Listening on Syslog Socket. [ 6.382030] systemd[1]: Listening on initctl Compatibility Named Pipe. [ OK ] Listening on initctl Compatibility Named Pipe. [ 6.402330] systemd[1]: Listening on Journal Audit Socket. [ OK ] Listening on Journal Audit Socket. [ 6.422106] systemd[1]: Listening on Journal Socket (/dev/log). [ OK ] Listening on Journal Socket (/dev/log). [ 6.442191] systemd[1]: Listening on Journal Socket. [ OK ] Listening on Journal Socket. [ 6.462364] systemd[1]: Listening on Network Service Netlink Socket. [ OK ] Listening on Network Service Netlink Socket. [ 6.482299] systemd[1]: Listening on udev Control Socket. [ OK ] Listening on udev Control Socket. [ 6.502050] systemd[1]: Listening on udev Kernel Socket. [ OK ] Listening on udev Kernel Socket. [ 6.522164] systemd[1]: Listening on User Database Manager Socket. [ OK ] Listening on User Database Manager Socket. [ 6.557971] systemd[1]: Mounting Huge Pages File System... Mounting Huge Pages File System... [ 6.581155] systemd[1]: Mounting POSIX Message Queue File System... Mounting POSIX Message Queue File System... [ 6.605354] systemd[1]: Mounting Kernel Debug File System... Mounting Kernel Debug File System... [ 6.626113] systemd[1]: Kernel Trace File System was skipped because of a failed condition check (ConditionPathExists=/sys/kernel/tracing). [ 6.643474] systemd[1]: Mounting Temporary Directory /tmp... Mounting Temporary Directory /tmp... [ 6.663618] systemd[1]: Create List of Static Device Nodes was skipped because of a failed condition check (ConditionFileNotEmpty=/lib/modules/6.6.10-xilinx-v2024.1-g3af4295e00ef/modules.devname). [ 6.698192] systemd[1]: Starting Load Kernel Module configfs... Starting Load Kernel Module configfs... [ 6.721761] systemd[1]: Starting Load Kernel Module drm... Starting Load Kernel Module drm... [ 6.758246] systemd[1]: Starting Load Kernel Module fuse... Starting Load Kernel Module fuse... [ 6.782835] systemd[1]: Starting RPC Bind... Starting RPC Bind... [ 6.797848] systemd[1]: File System Check on Root Device was skipped because of a failed condition check (ConditionPathIsReadWrite=!/). [ 6.810927] systemd[1]: systemd-journald.service: unit configures an IP firewall, but the local system does not support BPF/cgroup firewalling. [ 6.823878] systemd[1]: (This warning is only shown for the first unit using IP firewalling.) [ 6.854267] systemd[1]: Starting Journal Service... Starting Journal Service... [ 6.876848] systemd[1]: Load Kernel Modules was skipped because all trigger condition checks failed. [ 6.897958] systemd[1]: Mounting NFSD configuration filesystem... Mounting NFSD configuration filesystem... [ 6.938213] systemd[1]: Starting Generate network units from Kernel command line... Starting Generate network …ts from Kernel command line... [ 6.968138] systemd[1]: Starting Remount Root and Kernel File Systems... Starting Remount Root and Kernel File Systems... [ 7.022165] EXT4-fs (mmcblk0p2): re-mounted 618c3265-8ef2-4217-b7c4-aefa57a2013a r/w. Quota mode: none. [ 7.022920] systemd[1]: Starting Apply Kernel Variables... Starting Apply Kernel Variables... [ 7.063947] systemd[1]: Starting Coldplug All udev Devices... Starting Coldplug All udev Devices... [ 7.092216] systemd[1]: Started RPC Bind. [ OK ] Started RPC Bind. [ 7.114197] systemd[1]: Started Journal Service. [ OK ] Started Journal Service. [ OK ] Mounted Huge Pages File System. [ OK ] Mounted POSIX Message Queue File System. [ OK ] Mounted Kernel Debug File System. [ OK ] Mounted Temporary Directory /tmp. [ OK ] Finished Load Kernel Module configfs. [ OK ] Finished Load Kernel Module drm. [ OK ] Finished Load Kernel Module fuse. [ OK ] Mounted NFSD configuration filesystem. [ OK ] Finished Generate network units from Kernel command line. [ OK ] Finished Remount Root and Kernel File Systems. [ OK ] Finished Apply Kernel Variables. [ OK ] Reached target Preparation for Network. Mounting Kernel Configuration File System... Starting Flush Journal to Persistent Storage... [ 7.442198] systemd-journald[180]: Received client request to flush runtime journal. Starting Create Static Device Nodes in /dev... [ OK ] Mounted Kernel Configuration File System. [ OK ] Finished Flush Journal to Persistent Storage. [ OK ] Finished Create Static Device Nodes in /dev. [ OK ] Reached target Preparation for Local File Systems. Mounting /var/volatile... Starting Rule-based Manage…for Device Events and Files... [ OK ] Mounted /var/volatile. Starting Load/Save Random Seed... [ OK ] Reached target Local File Systems. Starting Create Volatile Files and Directories... [ OK ] Finished Create Volatile Files and Directories. Starting Network Name Resolution... Starting Network Time Synchronization... Starting Record System Boot/Shutdown in UTMP... [ OK ] Started Rule-based Manager for Device Events and Files. Starting Network Configuration... [ OK ] Finished Record System Boot/Shutdown in UTMP. [ OK ] Finished Coldplug All udev Devices. [ 8.733256] cfg80211: Loading compiled-in X.509 certificates for regulatory database [ 8.933652] random: crng init done [ OK ] Finished Load/Save Random Seed. [ OK ] Started Network Time Synchronization. [ 9.178083] Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7' [ 9.239948] Loaded X.509 cert 'wens: 61c038651aabdcf94bd0ac7ff06c7248db18c600' [ 9.257868] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2 [ 9.266542] cfg80211: failed to load regulatory.db [ OK ] Started Network Name Resolution. [ OK ] Reached target Host and Network Name Lookups. [ OK ] Reached target System Initialization. [ OK ] Started Daily Cleanup of Temporary Directories. [ OK ] Reached target System Time Set. [ OK ] Reached target Timer Units. [ OK ] Listening on D-Bus System Message Bus Socket. Starting sshd.socket... [ OK ] Started Network Configuration. [ OK ] Listening on sshd.socket. [ OK ] Reached target Network. [ OK ] Reached target Socket Units. [ OK ] Reached target Basic System. [ OK ] Started Kernel Logging Service. [ OK ] Started System Logging Service. Starting D-Bus System Message Bus... Starting inetd.busybox.service... [ OK ] Started NFS status monitor for NFSv2/3 locking.. Starting LSB: Kernel NFS server support... Starting User Login Management... Starting Permit User Sessions... Starting Target Communication Framework agent... Starting OpenSSH Key Generation... [ OK ] Started inetd.busybox.service. [ OK ] Finished Permit User Sessions. [ OK ] Started D-Bus System Message Bus. [ OK ] Started Target Communication Framework agent. [ 10.606488] xilinx_axienet 80030000.ethernet eth3: XXV MAC block lock not complete! Cross-check the MAC ref clock configuration [ 10.618060] xilinx_axienet 80030000.ethernet eth3: configuring for inband/25gbase-r link mode [ 10.811860] macb ff0c0000.ethernet eth4: PHY [ff0c0000.ethernet-ffffffff:01] driver [ADIN1300] (irq=POLL) [ 10.821472] macb ff0c0000.ethernet eth4: configuring for phy/rgmii-id link mode [ 10.854372] pps pps0: new PPS source ptp0 [ OK ] Finished OpenSSH Key Generation. [ 11.053720] macb ff0c0000.ethernet: gem-ptp-timer ptp clock registered. [ 11.145668] xilinx_axienet 80010000.ethernet eth1: XXV MAC block lock not complete! Cross-check the MAC ref clock configuration [ 11.145717] xilinx_axienet 80010000.ethernet eth1: configuring for inband/25gbase-r link mode [ 11.189944] xilinx_axienet 80000000.ethernet eth0: configuring for inband/25gbase-r link mode [ 11.193710] xilinx_axienet 80000000.ethernet eth0: Link is Up - 25Gbps/Full - flow control off [ 11.229713] xilinx_axienet 80020000.ethernet eth2: XXV MAC block lock not complete! Cross-check the MAC ref clock configuration [ 11.229753] xilinx_axienet 80020000.ethernet eth2: configuring for inband/25gbase-r link mode [ 11.350392] NFSD: Using /var/lib/nfs/v4recovery as the NFSv4 state recovery directory [ 11.357910] NFSD: Using legacy client tracking operations. [ 11.357920] NFSD: starting 90-second grace period (net f0000000) [FAILED] Failed to start LSB: Kernel NFS server support. See 'systemctl status nfsserver.service' for details. [ OK ] Started User Login Management. [ OK ] Created slice Slice /system/systemd-fsck. [ OK ] Listening on Load/Save RF …itch Status /dev/rfkill Watch. [ OK ] Started Getty on tty1. [ OK ] Started Serial Getty on ttyAMA0. [ OK ] Reached target Login Prompts. [ OK ] Reached target Multi-User System. Starting Record Runlevel Change in UTMP... [ OK ] Found device /dev/mmcblk0p1. Starting File System Check on /dev/mmcblk0p1... [ OK ] Finished Record Runlevel Change in UTMP. [ OK ] Finished File System Check on /dev/mmcblk0p1. Mounting /run/media/boot-mmcblk0p1... [ OK ] Mounted /run/media/boot-mmcblk0p1. ******************************************************************************************** The PetaLinux source code and images provided/generated are for demonstration purposes only. Please refer to https://xilinx-wiki.atlassian.net/wiki/spaces/A/pages/2741928025/Moving+from+PetaLinux+to+Production+Deployment for more details. ******************************************************************************************** PetaLinux 2024.1+release-S05201002 vek280-sfp28-2024-1 ttyAMA0 vek280-sfp28-2024-1 login: